System - Chip Test Strategies

ثبت نشده
چکیده

A major challenge in realizing core-based system-chips is the adoption of adequate test and diagnosis strategies. this paper focuses on the current industrial practices in test strategies for system-chips. It discusses the challenges in testing embedded cores, the testing requirements for individual cores, and their test access mechanisms. It also covers the integrated test strategies for system-chips based on reusable cores. In addition to the state-of-the-art practices in testability schemes, this paper covers the current standardization efforts for embedded core test interface mechanisms. 1: Introduction Today's embedded cores incorporated into system-chips cover a very wide range of functions, while utilizing an unprecedented range of technologies, from logic to DRAM to analog. They often come in hierarchical compositions. For instance, a complex core may embed one or more simple cores. An example system-chip design is shown in Figure (1), where a cores of various functions are demonstrated. These cores typically come in a wide range of hardware description levels. They spread from fully optimized layouts in GDSII format to widely flexible RTL codes. Embedded cores are categorized into three major types based on their hardware description level: soft, firm and hard [15]. Each type of core has different modeling and test requirements. The three types of cores offer trade-off. Soft cores leave much of the implementation to the designer, but are flexible and process-independent. Hard cores have been optimized for predictable performance, but lack flexibility. Firm cores offer a compromise between the two. The emerging process of plug-and-play with embedded cores from diverse sources faces numerous challenges in the areas of system-on-chip design, integration, and test. This paper analyzes the test and diagnosis challenges and discusses the current solutions to create testable core-based system-chips. The paper mainly covers the common practices in the industry and shed light on the ongoing efforts to contain today's challenges. In section 2, the paper discusses the testing challenges in core-based system-chips. Section 3 describes the existing strategies to address core internal test. Section 4 covers embedded core peripheral access mechanisms. Section 5 discusses the overall system-chip test and diagnosis solutions. Finally, Section 5 concludes the paper. In this section, the main test challenges in system-chips are analyzed and compared to the conventional system-on-board (SOB) ones. In addition to the major differences here, we have to note that system-chips do also share the typical testing challenges of the traditional deep-submicron chips, such as defect/fault coverage, overall …

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Embedded Memory Test Strategies and Repair

The demand of self-testing proportionally increases with memory size in System on Chip (SoC). SoC architecture normally occupies the majority of its area by memories. Due to increase in density of embedded memories, there is a need of self-testing mechanism in SoC design. Therefore, this research study focuses on this problem and introduces a smooth solution for self-testing.  In the proposed m...

متن کامل

Test Strategies for Embedded ADC Cores in a System-on-Chip, A Case Study

Testing of a deeply embedded mixed-signal core in a System-on-Chip (SoC) is a challenging issue due to the communication bottleneck in accessing the core from external automatic test equipment. Consequently, in many cases the preferred approach is built-in self-test (BIST), where the major part of test activity is performed within the unit-under-test and only final results are communicated to t...

متن کامل

Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification

We propose a test generation method employing genetic evolutionary algorithms, and multi-objective optimising strategies that achieve Pareto optimality. The generated tests enable high coverage for the design under test and use low computational resources. The benefits of the technique are demonstrated by its application for system-on-chip verification.

متن کامل

Defect Probability-based System-On-Chip Test Scheduling

In this paper we address the test scheduling problem for system-on-chip. Different from previous approaches where it is assumed that all tests will be performed until completion, we consider the cases where the test process will be terminated as soon as a defect is detected. This is common practice in production test of chips. The proposed technique takes into account the probability of defect-...

متن کامل

System Level Testability Issues of Core Based System-on-a-Chip

Testability issues of a core based system-on-a-chip (SOC) are identified and the various solutions available at the different stages of SOC evolution are discussed. It was found that a strategy at core level and system level is needed to achieve first time success of the core based SOC. The issues considered include area, power and delay overheads, Fault coverage, At speed test, Core transparen...

متن کامل

System-Chip Test Strategies - Design Automation Conference, 1998. Proceedings

A major challenge in realizing core-based system-chips is the adoption of adequate test and diagnosis strategies. this paper focuses on the current industrial practices in test strategies for system-chips. It discusses the challenges in testing embedded cores, the testing requirements for individual cores, and their test access mechanisms. It also covers the integrated test strategies for syste...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 1998